अनुप्रयोग-विशिष्ट समाकलित सर्कीट (एएसआयसी)

लेखक: Laura McKinney
निर्मितीची तारीख: 3 एप्रिल 2021
अद्यतन तारीख: 1 जुलै 2024
Anonim
कैसे काम करते हैं? | प्रेरक और अनुप्रयोग क्या हैं? | बुनियादी इलेक्ट्रॉनिक्स | मानव ज्ञान
व्हिडिओ: कैसे काम करते हैं? | प्रेरक और अनुप्रयोग क्या हैं? | बुनियादी इलेक्ट्रॉनिक्स | मानव ज्ञान

सामग्री

व्याख्या - -प्लिकेशन-विशिष्ट इंटिग्रेटेड सर्किट (एएसआयसी) म्हणजे काय?

-प्लिकेशन-विशिष्ट इंटीग्रेटेड सर्किट (एएसआयसी) एक प्रकारचा एकात्मिक सर्किट आहे जो विशिष्ट अनुप्रयोग किंवा हेतूसाठी विशेषतः तयार केलेला आहे. प्रोग्राम करण्यायोग्य लॉजिक डिव्हाइस किंवा प्रमाणित लॉजिक इंटीग्रेटेड सर्किटच्या तुलनेत, एक एएसआयसी वेग सुधारू शकतो कारण हे विशेषतः एका गोष्टी करण्यासाठी तयार केले गेले आहे आणि ते हे एक कार्य चांगले करते. हे लहान देखील केले जाऊ शकते आणि कमी वीज वापरली जाऊ शकते. या सर्किटचे नुकसान हे आहे की ते डिझाइन करणे आणि तयार करणे अधिक महाग असू शकते, विशेषत: जर केवळ काही युनिट्स आवश्यक असतील तर.


एक एएसआयसी जवळजवळ कोणत्याही इलेक्ट्रॉनिक डिव्हाइसमध्ये आढळू शकते आणि त्याचा वापर प्रतिमेच्या सानुकूल प्रस्तुतीकरणापासून ते रूपांतरण पर्यंत असू शकतो. कारण एएसआयसीएस सर्व सानुकूल-निर्मित आहेत आणि अशा प्रकारे केवळ त्यांची रचना करणार्‍या कंपनीलाच उपलब्ध आहेत, त्यांना मालकीचे तंत्रज्ञान मानले जाते.

मायक्रोसॉफ्ट अझर आणि मायक्रोसॉफ्ट क्लाऊडची ओळख | या संपूर्ण मार्गदर्शकामध्ये आपण क्लाउड संगणन करणे म्हणजे काय आणि मायक्रोसॉफ्ट अझर आपल्याला क्लाऊडवरून आपला व्यवसाय स्थलांतरित आणि चालविण्यात कशी मदत करू शकेल हे शिकाल.

टेकोपीडिया अनुप्रयोग-विशिष्ट एकात्मिक सर्किट (एएसआयसी) चे स्पष्टीकरण देते

एएसआयसीएसच्या तीन भिन्न श्रेणी आहेत:

  • पूर्ण-सानुकूल ASICS: हे विशिष्ट अनुप्रयोगासाठी स्क्रॅचमधून सानुकूलित केलेले आहेत. त्यांचा अंतिम हेतू डिझायनरने ठरविला आहे. या समाकलित केलेल्या सर्किटचे सर्व फोटोलिथोग्राफिक स्तर आधीपासूनच पूर्णपणे परिभाषित केले आहेत, उत्पादन दरम्यान सुधारणांसाठी जागा नाही.
  • अर्ध-सानुकूल एआयएसआयसीः त्यांच्या अर्जाच्या क्षेत्रातील क्षेत्रामध्ये भिन्न कार्ये करण्यासाठी अंशतः सानुकूलित आहेत.विखुरलेल्या थरांसाठीचे मुखवटे आधीपासूनच पूर्ण परिभाषित केले असले तरीही हे एएसआयसीएस उत्पादन दरम्यान काही बदल करण्यास परवानगी देण्यासाठी डिझाइन केलेले आहेत.
  • प्लॅटफॉर्म एएसआयसीः हे कार्यपद्धती, बौद्धिक गुणधर्म आणि सिलिकॉनची एक परिभाषित रचना तयार केली गेली आहे जे डिझाइन चक्र लहान करते आणि विकास खर्च कमी करते. प्लॅटफॉर्म एएसआयसी पूर्वनिर्धारित प्लॅटफॉर्मच्या तुकड्यांमधून बनविलेले असतात, जिथे प्रत्येक स्लाइस प्रीमेन्क्युअर केलेले डिव्हाइस, प्लॅटफॉर्म लॉजिक किंवा संपूर्ण सिस्टम आहे. पूर्वनिर्मित साहित्याचा वापर या सर्किट्ससाठी विकास खर्च कमी करते.